Synchronization Design for Digital Systems (Paperback, Softcover reprint of the original 1st ed. 1991)


Synchronization is one of the important issues in digital system design. While other approaches have always been intriguing, up until now synchro nous operation using a common clock has been the dominant design philo sophy. However, we have reached the point, with advances in technology, where other options should be given serious consideration. This is because the clock periods are getting much smaller in relation to the interconnect propagation delays, even within a single chip and certainly at the board and backplane level. To a large extent, this problem can be overcome with care ful clock distribution in synchronous design, and tools for computer-aided design of clock distribution. However, this places global constraints on the design, making it necessary, for example, to redesign the clock distribution each time any part of the system is changed. In this book, some alternative approaches to synchronization in digital sys tem design are described and developed. We owe these techniques to a long history of effort in both digital system design and in digital communica tions, the latter field being relevant because large propagation delays have always been a dominant consideration in design. While synchronous design is discussed and contrasted to the other techniques in Chapter 6, the dom inant theme of this book is alternative approaches.

R2,975

Or split into 4x interest-free payments of 25% on orders over R50
Learn more

Discovery Miles29750
Mobicred@R279pm x 12* Mobicred Info
Free Delivery
Delivery AdviceShips in 10 - 15 working days



Product Description

Synchronization is one of the important issues in digital system design. While other approaches have always been intriguing, up until now synchro nous operation using a common clock has been the dominant design philo sophy. However, we have reached the point, with advances in technology, where other options should be given serious consideration. This is because the clock periods are getting much smaller in relation to the interconnect propagation delays, even within a single chip and certainly at the board and backplane level. To a large extent, this problem can be overcome with care ful clock distribution in synchronous design, and tools for computer-aided design of clock distribution. However, this places global constraints on the design, making it necessary, for example, to redesign the clock distribution each time any part of the system is changed. In this book, some alternative approaches to synchronization in digital sys tem design are described and developed. We owe these techniques to a long history of effort in both digital system design and in digital communica tions, the latter field being relevant because large propagation delays have always been a dominant consideration in design. While synchronous design is discussed and contrasted to the other techniques in Chapter 6, the dom inant theme of this book is alternative approaches.

Customer Reviews

No reviews or ratings yet - be the first to create one!

Product Details

General

Imprint

Springer-Verlag New York

Country of origin

United States

Series

The Springer International Series in Engineering and Computer Science, 123

Release date

September 2012

Availability

Expected to ship within 10 - 15 working days

First published

1991

Authors

Dimensions

240 x 160 x 10mm (L x W x T)

Format

Paperback

Pages

175

Edition

Softcover reprint of the original 1st ed. 1991

ISBN-13

978-1-4613-6783-3

Barcode

9781461367833

Categories

LSN

1-4613-6783-2



Trending On Loot